簡易檢索 / 詳目顯示

研究生: 廖俊宇
Liao, Chun-Yu
論文名稱: 氧化鉿鋯材料系統之鐵電工程以邁向新興記憶體與邏輯應用
Ferroelectric Engineering of Hf1-xZrxO2 Material System Toward Emerging Memory and Logic Applications
指導教授: 李敏鴻
Lee, Min-Hung
口試委員: 張智勝
Chang, Chih-Sheng
陳自強
Chen, Tzu-Chiang
陳敏璋
Chen, Miin-Jang
李峻霣
Li, Jiun-Yun
連振炘
Lien, Chen-Hsin
蘇彬
Su, Pin
李敏鴻
Lee, Min-Hung
口試日期: 2022/06/29
學位類別: 博士
Doctor
系所名稱: 光電工程研究所
Graduate Institute of Electro-Optical Engineering
論文出版年: 2022
畢業學年度: 110
語文別: 英文
論文頁數: 97
中文關鍵詞: (反)鐵電材料氧化鉿鋯非揮發性記憶體多位元記憶體新穎記憶體閘極環繞式電晶體電漿增強型原子層沉積後段製程免喚醒負電容效應電荷增加反向切換
英文關鍵詞: (anti)ferroelectric materials, HfZrO2, nonvolatile memory, multibit memory, emerging memory, gate-all-around (GAA) FET, plasma-enhanced atomic layer deposition (PEALD), back end of line (BEOL), wake-up free, negative capacitance (NC) effect, charge enhancement, reverse switching
研究方法: 實驗設計法準實驗設計法次級資料分析主題分析
DOI URL: http://doi.org/10.6345/NTNU202201290
論文種類: 學術論文
相關次數: 點閱:124下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 鐵電氧化鉿鋯之鐵電工程為本論文主題,主要研究於記憶體及邏輯元件應用。記憶體應用方面,將討論鐵電場效應電晶體(FeFETs)可改善方向及面臨的問題,包括記憶體密度的挑戰、電荷俘獲和去極化效應以及wake-up效應。此外於電荷增加(charge boost)的發生機制中,將在反鐵電系統上採取有別一般理論的解釋方式,並提出雙向無電滯之方案。
    在第二章中,將使用反鐵電-正鐵電-電晶體進行兩位元的記憶體操作,其電晶體內含有四方晶向(tetragonal phase)及正交晶向(orthorhombic phase)的混合(反)鐵電向位。而四方晶向及正交晶向分別可以提供多峰矯頑場(coercive field)及殘餘極化(remnant polarization)的特性,進而得到較穩定的多位階操作及非揮發性記憶體能力。因此,利用反鐵電-正鐵電-電晶體在± 4 V的低電壓操作下,可得到多於10^5次的操作次數及在高溫環境下(65 ℃)的穩定資料儲存能力(>10^4 s)。
    而為了提高記憶體資料保存穩定性的目的,在第3章節中將使用非等厚度的雙層鐵電氧化鉿鋯作為閘極堆疊結構。雙層鐵電氧化鉿鋯結構是利用一層氧化鋁的介電質材料作為隔層,分別將兩層不等厚度的氧化鉿鋯隔開,其上層及下層的鐵電氧化鉿鋯分別為5奈米及10奈米。這種設計是為了避免單斜晶向(monoclinic phase)在較厚的氧化鉿鋯中產生,以維持鐵電極化特性。此外,上層及下層的矯頑場不同,使得每一位階更加穩定及獨立,可以有效降低讀取時的錯誤率,且與單層鐵電氧化鉿鋯的閘極設計相比,可改善600倍的錯誤率。因此,雙層鐵電氧化鉿鋯作為非揮發性記憶體的多階單元並進行2位元可靠度測試時,可得到>10^5次的循環操作及>10^4秒的資料保存能力。
    此外, 鐵電電晶體與三維結構技術的結合,例如:鰭式電晶體及閘極環繞電晶體,使得記憶體元件的尺寸能持續微縮至奈米等級,以增加單位面積下的記憶體單元,持續達到密度提升的目標。在第四章中,將三維的閘極環繞奈米片鐵電電晶體結構,並搭配雙層鐵電堆疊技術以作為高密度嵌入式非揮發性記憶體。而本章節中使用氮化鈦及氧化鋁兩種不同的隔層材料,其分別可對於操作電壓及記憶窗大小進行優化及改善。然而,奈米片的轉角結構使得極化方向互相抵消,產生較弱的極化區域-死區(dead zone)。而使用雙層氧化鉿鋯,外層的氧化鉿鋯有較大的曲率半徑,這可以減緩轉角效應。因此選擇TiN隔層的雙層鐵電氧化鉿鋯,可在± 3.5 V的操作電壓下,產生1.3 V的記憶窗、>10^11次卓越的操作能力及>2×10^4秒的資料保存能力。
    然而,鐵電電晶體1T架構中存在寫入後讀取(read-after-write)之資料保存流失(retention loss)問題,鐵電電晶體在給予正極性的寫入電壓後,造成電荷被捕獲且殘留在氧化層與半導體層的介面,此捕獲電荷會抵消鐵電的電偶子產生的極化反應,使得臨界電壓在「寫後讀」的不穩定,造成錯誤讀取。此外,當閘極氧化層減薄後,會產生更大的去極化場,導致鐵電極化的衰退,這必須依靠給予一個閘極偏壓去抵抗去極化場,以避免極化衰退。因此,第五章將探討n型鐵電電晶體的「寫後讀」行為,並利用-1.5 V的反向極性電壓協助電荷「去捕獲」,並同時調整基準電壓,以抵抗去極化場造成的鐵電極化衰退。
    在第六章中,將嘗試使用電漿增強原子層沉積系統(plasma-enhanced atomic layer doposition)進行鐵電氧化鉿鋯的薄膜優化。研究發現,使用電漿的輔助可減少氧化鉿鋯內的氧空缺(oxygen vacancy),並使晶相形成較多的鐵電相位,避免電壓操作時的氧空缺重新排列造成的極化喚醒過程,達到免喚醒(wake-up free)的鐵電薄膜元件。另外,其可使用300 ℃的退火溫度,即形成良好的鐵電特性,以達到後段製程(BEOL)的所需的熱預算要求。
    負電容(negative capacitance)效應的理論根據與起源於目前尚有爭論。在過去幾年中,Landua-Ginzburg-Devonshire理論(LGD theory)被用解釋負電容現象產生的表面電荷增加。在第七章中,將使用另一解釋方式,利用反向切換(reverse switching)的概念討論電荷提升,實驗中使用AFE和AFE-DE系統來驗證反向極化切換所產生的電荷提升將會與飽和極化及殘餘極化的差有重要關係。此外,當AFE電容進行雙極性操作,可同時得到雙極性電荷提升及沒有遲滯現象的結果,此實驗結論支持了本實驗室於之前論文發表之電晶體實驗結果。

    In this thesis, the HfZrO2 (FE-HZO) based ferroelectric engineering will be investigated for emerging memory and logic applications. For the memory, the issues of ferroelectric field-effect transistors (FeFETs) will be discussed, including the challenge of memory density, charge trapping and depolarization effect, and wake-up effect. An alternative explanation for charge enhancement will be adopted on antiferroelectric (AFE) HZO, and provides a strategy for bidirectional nonhysteretic released charge (QD) scheme.
    In chapter 2, the hybrid tetragonal (t) phase and orthorhombic (o) phase of antiferroelectric-ferroelectric-FET (AFE-FE-FET) is used for 2-bit memory operation. The t-phase and o-phase contribute to multipeak coercive field (EC) and remnant polarization (Pr), respectively, which results in stable multi states and nonvolatile memory (NVM) characteristic. Therefore, a low program/erase voltage (|VP/E| = 4 V) is demonstrated by AFE-FE-FET with more than 10^5 endurance cycles and stable data retention (>10^4 s at 65 ℃).
    In order to increase stability of multibit storage, an asymmetrical thickness of double-HZO is proposed as the gate stack in chapter 3. A double-HZO FeFET is two HZO layers separated by a dielectric (DE) of Al2O3 to avoid monoclinic (m) phase formation, and the top and bottom HZO are 5-nm-thick and 10-nm-thick, respectively. Besides, the EC difference of the top and bottom HZO is beneficial to stabilize each individual state, which exhibits lower error rate (ER) and shows a 600X improvement compared to single-HZO. As the results, a 2-bit endurance with >10^5 cycles and data retention >10^4 are demonstrated as a multilevel cell (MLC) for high density NVM application.
    In addition, three-dimensional (3-D) FeFETs (FinFET and GAAFET) are able to scale down toward nanoscale devices for high memory cell. In chapter 4, a 3-D GAA nanosheet (NS) FeFET with stacked HZO is based on double-HZO for high-density embedded NVM (eNVM). Two interlayers of TiN or Al2O3 in the double-HZO exhibits low access voltage or memory window (MW) enhancement, respectively. However, the corner of nanosheet structure leads to dead zone due to polarization compensation. The double-HZO can mitigate the corner effect with increasing curvature radius of outer HZO. Therefore, the double-HZO GAA-FeFET with interlayer TiN can use low operation voltage of ± 3.5 V to achieve large MW = 1.3 V, >10^11 robust endurance cycles, and data retention of 2×10^4 s.
    On the other hands, the issue of read-after-write for FeFETs retention loss in one transistor (1T) architecture is revealed in recent publications. The charge trapping occurs after a positive write pulse (VP) with n-FeFET. Trapped charges are residual in interfacial layer (IL) compensating FE polarization and needs relaxation time (delay time) to de-trap, which results in unstable threshold voltage (VT) and error readout for read-after-write. In addition, the depolarization field increases with scale-down thickness of FE-HZO. A base gate voltage (Vbase) is necessary to overcome depolarization to avoid polarization degradation. Therefore, an n-type FeFET of read-after-write is going to be discussed in chapter 5. An opposite polarity pulse of -1.5 V for detrapping (OPD) with adjustable Vbase is used simultaneously to mitigate retention loss of read-after-write.
    In chapter 6, the plasma-enhanced atomic layer deposition (PE-ALD) is adopted for FE-HZO film optimization. The lower oxygen vacancy (VO) and higher o-phase ratio can be observed by using PE-ALD, which is beneficial for ferroelectric films to avoid wake-up effect due to redistribution of oxygen vacancies to achieve wake-up free. Additionally, it shows a great FE characteristic under low annealing temperature of 300 ℃, which is an advantage for back end of line (BEOL) processes to satisfy the thermal budget requirement.
    The origins of negative capacitance (NC) have numerous publications and are controversial. The Landau–Ginzburg–Devonshire (LGD) theory has been used to explain the charge boost in the past years. In chapter 7, the reverse polarization switching concept provides another explanation of reverse switching concept to reveal charge enhancement effect. AFE and AFE-DE systems are used for comparison to insight the important role of the saturation polarization (PS) and Pr difference. The QD enhancement is observed with bipolar AFE operation and no QD difference (ΔQD), which supports our previously experimental results of FET.

    Publications I Frist Author I Journal Paper I Conference Paper I Co-author II Journal Paper II Conference Paper III 致謝 VII 摘要 VIII Abstract X Table of Contents XIII List of Tables XV List of Figures XVI Chapter 1. Introduction 1 1-1 Ferroelectric Memory 1 1-2 FERROELECTRICITY FOR LOGIC APPLICATION 3 1-3 ISSUES OF FERROELECTRIC FIELD-EFFECT TRANSISTORS (FeFETS) 5 Chapter 2. Multipeak Coercive Field Concept by Using Hf0.25Zr0.75O2 FeFET for Multibit Memory 10 2-1 INTRODUCTION 10 2-2 DEVICES FABRICATION 14 2-3 MATERIAL ANALYSIS 15 2-4 2-BIT OPERATION AND DOMAIN STATUSES 17 2-5 OXYGEN VACANCIES IN AFE-FE-HZO 20 2-6 RELIABILITY OF AFE-FE-FETS 21 2-7 COMPARISON WITH PREVIOUS WORKS 25 2-8 CONCLUSION 26 Chapter 3. Asymmetrical Double HfZrO2 for Multibit FeFET 28 3-1 Introduction 28 3-2 Experimental 29 3-3 STRUCTURE AND MATERIAL ANALYSIS 30 3-4 CHARACTERISTIC OF FERROELECTRIC CAPACITOR 31 3-5 MEMORY WINDOW AND MULTI-VT OPERATION 34 3-6 RELIABILITY OF 2-BIT OPERATION 36 3-7 BENCHMARK OF MULTILEVEL-FEFET 38 3-8 CONCLUSION 39 Chapter 4. Double HfZrO2 Gate-All-Around-FeFET 40 4-1 INTRODUCTION 40 4-2 DEVICE FABRICATION 42 4-3 COMPARED INSERTED LAYER OF DOUBLE-HZO WITH PLANAR FET 43 4-4 CORNER EFFECT – DEAD ZONE 45 4-5 CHARACTERISTIC OF DOUBLE-HZO GAA-FEFET 47 4-6 RELIABILITY OF DOUBLE-HZO GAA-FEFETS 48 4-7 SYNAPTIC CAPABILITY OF GAA-FEFETS 50 4-8 CONCLUSION 52 Chapter 5. Opposite Polarity Pulse Detrapping (OPD) with Base Voltage for Read-After-Write Issue 53 5-1 INTRODUCTION 53 5-2 DEVICE FABRICATION 55 5-3 OPPOSITE POLARITY PULSE FOR CHARGE DETRAPPING 55 5-4 DEPOLARIZATION EFFECT 57 5-5 COMBINATION WITH OPD AND VBASE METHODS 61 5-6 CONCLUSION 62 Chapter 6. Plasma-Enhanced Atomic Layer Deposition (PEALD) for BEOL Application 63 6-1 INTRODUCTION 63 6-2 PLASMA-ENHANCED ATOMIC LAYER DEPOSITION (PEALD) 65 6-3 RESULTS AND DISCUSSION 66 6-4 CONCLUSION 71 Chapter 7. Charge Enhancement by Reverse Switching of Antiferroelectric Hf0.1Zr0.9O2 72 7-1 INTRODUCTION 72 7-2 DEVICES FABRICATION 74 7-3 BASIC CHARACTERISTICS OF AFE AND AFE-DE CAPACITORS 76 7-4 PULSE MEASUREMENT FOR AFE AND AFE-DE SYSTEMS 78 7-5 REVERSE SWITCHING CONCEPT FOR CHARGE ENHANCEMENT 79 7-6 REVERSE SWITCHING WITH C-V MEASUREMENT 81 7-7 AFE CAPACITATOR APPLICATION 82 7-8 CONCLUSION 83 Chapter 8. Summery and Future Works 84 8-1 SUMMERY 84 8-2 FUTURE WORKS 86 References 87

    [1] J. Kang, P. Huang, B. Gao, H. Li, Z. Chen, Y. Zhao, C. Liu, L. Liu, and X. Liu, "Design and application of oxide-based resistive switching devices for novel computing architectures," IEEE J. Electron Devices Soc., vol. 4, no. 5, pp. 307-313, Sept. 2016.
    [2] S. De, D. D. Lu, H.-H. Le, S. Mazumder, Y.-J. Lee, W.-C. Tseng, B.-H. Qiu, M. A. Baiig, P.-J. Sung, C.-J. Su, C.-T. Wu, W.-F. Wu, W.-K. Yeh, and Y.-H. Wang, "Ultra-low power robust 3bit/cell Hf0.5Zr0.5O2 ferroelectric FinFET with high endurance for advanced computing-in-memory technology," in Proc. Symp. VLSI Technol., Kyoto, Jun. 2021, pp. 1-2.
    [3] I. H. Lone, J. Aslam, N. R. E. Radwan, A. H. Bashal, A. F. A. Ajlouni and A. Akhter, "Multiferroic ABO3 transition metal oxides: a rare interaction of ferroelectricity and magnetism," Nanoscale Res. Lett., vol. 14, Apr. 2019, Art. no. 142.
    [4] M. H. Lee, K.-T. Chen, C.-Y. Liao, S.-S. Gu, G.-Y. Siang, Y.-C. Chou, H.-Y. Chen, J. Le, R.-C. Hong, Z.-Y. Wang, S.-Y. Chen, P.-G. Chen, M. Tang, Y.-D. Lin, H.-Y. Lee, K.-S. Li, and C. W. Liu, "Extremely Steep Switch of Negative-Capacitance Nanosheet GAA-FETs and FinFETs," in IEDM Tech. Dig., San Francisco, Dec. 2018, pp. 735-738.
    [5] B. Obradovic, V. Rakshit, R. Hatcher, J. A. Kittl, and M. S. Rodder, "Ferroelectric switching delay as cause of negative capacitance and the implications to NCFETs," in Proc. Symp. VLSI Technol., Honolulu, Jun. 2018, pp. 51-52.
    [6] K.-T. Chen, S.-S. Gu, Z.-Y. Wang, C.-Y. Liao, Y.-C. Chou, R.-C. Hong, S.-Y. Chen, H.-Y. Chen, G.-Y. Siang, C. Lo, P.-G. Chen, M.-H. Liao, K.-S. Li, S.-T. Chang, and M.-H. Lee, "Ferroelectric HfZrOx FETs on SOI substrate with reverse-DIBL (drain-induced barrier lowering) and NDR (negative differential resistance," IEEE J. Electron Devices Soc., vol. 6, pp. 900-904, Aug. 2018.
    [7] P. Sharma, K. Tapily, A. K. Saha, J. Zhang, A. Shaughnessy, A. Aziz, G. L. Snider, S. Gupta, R. D. Clark, and S. Datta, "Impact of total and partial dipole switching on the switching slope of gate-last negative capacitance FETs with ferroelectric hafnium zirconium oxide gate stack," in Proc. Symp. VLSI Technol., Kyoto, Jun. 2017, pp. T154-T155.
    [8] M. H. Lee, K.-T. Chen, C.-Y. Liao, G.-Y. Siang, C. Lo, H.-Y. Chen, Y.-J. Tseng, C.-Y. Chueh, C. Chang, Y.-Y. Lin, Y.-J. Yang, F.-C. Hsieh, S. T. Chang, M.-H. Liao, K.-S. Li, and C. W. Liu, "Bi-directional sub-60mV/dec, hysteresis-free, reducing onset voltage and high speed response of ferroelectric-antiferroelectric Hf0.25Zr0.75O2 negative capacitance FETs," in IEDM Tech. Dig., San Francisco, Dec. 2019, pp. 566-569.
    [9] C.-Y. Liao, K.-Y. Hsiang, Z.-F. Lou, H.-C. Tseng, C.-Y. Lin, Z.-X. Li, F.-C. Hsieh, C.-C. Wang, F.-S. Chang, W.-C. Ray, Y.-Y. Tseng, S. T. Chang, T.-C. Chen, and M. H. Lee, “Endurance > 1011 cycling of 3D GAA nanosheet ferroelectric FET with stacked HfZrO2 to homogenize corner field toward mitigate dead zone for high-density eNVM,” in Proc. symp. VLSI Technol., Honolulu, Jun. 2022, pp. 393-394.
    [10] X. Duan, K. Huang, J. Feng, J. Niu, H. Qin, S. Yin, G. Jiao, D. Leonelli, X. Zhao, Z. Wang, W. Jing, Z. Wang, Y. Wu, J. Xu, Q. Chen, X. Chuai, C. Lu, W. Wang, G. Yang, D. Geng, L. Li, and M. Liu, "Novel vertical channel-all-around (CAA) In-Ga-Zn-O FET for 2T0C-DRAM with high density beyond 4F2 by monolithic stacking," IEEE Trans. Electron Devices, vol. 69, no. 4, pp. 2196-2202, Mar. 2022.
    [11] C.-Y. Liao, K.-Y. Hsiang, Z.-F. Lou, C.-Y. Lin, Y.-J. Tseng, H.-C. Tseng, Z.-X. Li, W.-C. Ray, F.-S. Chang, C.-C. Wang, T.-C. Chen, C.-S. Chang, and M.-H. Lee, "Multipeak coercive electric-field-based multilevel cell nonvolatile memory with antiferroelectric-ferroelectric field-effect transistors (FETs)," IEEE Trans. Ultrason. Ferroelectr. Freq. Control, vol. 69, no. 6, pp. 2214-2221, Jun. 2022.
    [12] C.-Y. Liao, K.-Y. Hsiang, F.-C. Hsieh, S.-H. Chiang, S.-H. Chang, J.-H. Liu, C.-F. Lou, C.-Y. Lin, T.-C. Chen, C.-S. Chang, and M. H. Lee, "Multibit ferroelectric FET based on nonidentical double HfZrO2 for high-density nonvolatile memory," IEEE Electron Device Lett., vol. 42, no. 4, pp. 617-620, Apr. 2021.
    [13] T. Ali, P. Polakowski, K. Kühnel, M. Czernohorsky, T. Kämpfe, M. Rudolph, B. Pätzold, D. Lehninger, F. Müller, R. Olivo, M. Lederer, R. Hoffmann, P. Steinke, K. Zimmermann, U. Mühle, K. Seidel, and J. Müller, "A Multilevel FeFET Memory Device based on Laminated HSO and HZO Ferroelectric Layers for High-Density Storage," in IEDM Tech. Dig., San Francisco, Dec. 2019, pp. 665-668.
    [14] Z. Wang, N. Tasneem, J. Hur, H. Chen, S. Yu, W. Chem, and A. Khan, "Standby bias improvement of read after write delay in ferroelectric field effect transistors," in IEDM Tech. Dig., San Francisco, Dec. 2021, pp. 430-433.
    [15] S.-H. Kuk, S.-M. Han, B.-H. Kim, S.-H. Baek, J.-H. Han, and S.-H. Kim, "Comprehensive understanding of the HZO-based n/pFeFET operation and device performance enhancement strategy," in IEDM Tech. Dig., San Francisco, Dec. 2021, pp. 717-720.
    [16] T. P. Ma and J.-P. Han, "Why is nonvolatile ferroelectric memory field-effect transistor still elusive?" IEEE Electron Device Lett., vol. 23, no. 7, pp. 386-388, Jul. 2002.
    [17] M. H. Park, H. J. Kim, Y. J. Kim, Y. W. Lee, T. Moon, K. D. Kim, S. D. Hyun, and C. S. Hwang, "Study on the size effect in Hf0.5Zr0.5O2 films thinner than 8 nm before and after wake-up field cycling," Appl. Phys. Lett., vol. 107, no. 19, Nov. 2015, Art. no. 192907.
    [18] K.-T. Chen, H.-Y. Chen, C.-Y. Liao, G.-Y. Siang, C. Lo, M.-H. Liao, K.-S. Li, S. T. Chang, and M. H. Lee, "Non-volatile ferroelectric FETs using 5-nm Hf0.5Zr0.5O2 with high data retention and read endurance for 1T memory applications," IEEE Electron Device Lett., vol. 40, no. 3, pp. 294-297, Mar. 2019.
    [19] M. Hoffmann, F. P. G. Fengler, M. Herzig, T. Mittmann, B. Max, U. Schroeder, R. Negrea, P. Lucian, S. Slesazeck, and T. Mikolajick, "Unveiling the double-well energy landscape in a ferroelectric layer," Nature, vol. 565, pp. 464-467, Jan. 2019.
    [20] Z. Liu, M. A. Bhuiyan and T. P. Ma, "A critical examination of 'quasi-static negative capacitance' (QSNC) theory," in IEDM Tech. Dig., San Francisco, Dec. 2018, pp. 711-714.
    [21] Z, Liu and T. P. Ma, "Apparent ‘negative capacitance’ effects in the pulse measurements of ferroelectrics," in IEDM Tech. Dig., San Francisco, Dec. 2021, pp. 426-429.
    [22] Z. Liu, H. Jiang, B. Ordway, and T. P. Ma, "Unveiling the apparent “negative capacitance” effects resulting from pulse measurements of ferroelectric-dielectric bilayer capacitors," IEEE Electron Device Lett., vol. 41, no. 10, pp. 1492-1495, Oct. 2020.
    [23] K. Ni, J. Smith, H. Ye, B. Grisafe, G. B. Rayner, A. Kummel, and S. Datta, "A Novel Ferroelectric Superlattice Based Multi-Level Cell Non-Volatile Memory," in IEDM Tech. Dig., San Francisco, Dec. 2019, pp. 669-672.
    [24] M. Trentzsch, S. Flachowsky, R. Richter, J. Paul, B. Reimer, D. Utess, S. Jansen, H. Mulaosmanovic, S. Müller, S. Slesazeck, J. Ocker, M. Noack, J. Müller, P. Polakowski, J. Schreiter, S. Beyer, T. Mikolajick, and B. Rice, "A 28nm HKMG super low power embedded NVM technology based on ferroelectric FETs," in IEDM Tech. Dig., San Francisco, Dec. 2016, pp. 294-297.
    [25] T.-J. Chang, C. Liu, C.-C. Fan, H.-H. Hsu, H.-H. Chen, W.-H. Chen, Y.-C. Fan, T.-M. Lee, C.-L. Lin, J. Ma, Z.-W. Zheng, C.-H. Cheng, S.-A. Wang, and C.-Y. Chang, "Investigation on polarization characteristics of ferroelectric memories with thermally stable hafnium aluminum oxides," Vaccum, vol. 166, pp. 11-14, Aug. 2019.
    [26] H. Mulaosmanovic, J. Ocker, S. Müller, U. Schroeder, J. Müller, P. Polakowski, S. Flachowsky, R. van Bentum, T. Mikolajick, and S. Slesazeck, "Switching Kinetics in Nanoscale Hafnium Oxide Based Ferroelectric Field-Effect Transistors," ACS Appl. Mater. Interfaces, vol. 9, no. 4, pp. 3792-3798, Jan. 2017.
    [27] H. Mulaosmanovic, S. Slesazeck, J. Ocker, M. Pesic, S. Muller, S. Flachowsky, J. Müller, P. Polakowski, J. Paul, S. Jansen, S. Kolodinski, C. Richter, S. Piontek, T. Schenk, A. Kersch, C. Kunneth, R. van Bentum, U. Schroder, and T. Mikolajick, "Evidence of single domain switching in hafnium oxide based FeFETs: Enabler for multi-level FeFET memory cells," in IEDM Tech. Dig., Washington, Dec. 2015, pp. 688-690.
    [28] Y. Xu, Y. Yang, S. Zhao, T. Gong, P. Jiang, Y. Wang, P. Yuan, Z. Dang, Y. Chen, S. Lv, Y. Ding, Y. Wang, J. Bi, Q. Luo, and M. Liu, "Improved Multi-bit Storage Reliability by Design of Ferroelectric Modulated Anti-ferroelectric Memory," in IEDM Tech. Dig., San Francisco, Dec. 2021, pp. 126-129.
    [29] K.-Y. Hsiang, C.-Y. Liao, K.-T. Chen, Y.-Y. Lin, C.-Y. Chueh, C. Chang, Y.-J. Tseng, Y.-J. Yang, S. T. Chang, M.-H. Liao, T.-H. Hou, C.-H. Wu, C.-C. Ho, J.-P. Chiu, C.-S. Chang, and M. H. Lee, "Ferroelectric HfZrO2 with electrode engineering and stimulation schemes as symmetric analog synaptic weight element for deep neural network training," IEEE Trans. Electron Devices, vol. 67, no. 10, pp. 4201-4207, Oct. 2020.
    [30] C.-Y. Liao, K.-Y. Hsiang, S.-H. Chang, S.-H. Chiang, F.-C. Hsieh, J.-H. Liu, H. Liang, Z.-F. Luo, C.-Y. Lin, L.-Y. Chen, V. P.-H. Hu, and M. H. Lee, "Identical pulse programming based ultra-thin 5 nm HfZrO2 ferroelectric field effect transistors with high conductance ratio and linearity potentiation learning trajectory," ECS J. Solid State Sci. Technol., vol. 10, no. 6, Jun. 2021, Art. no. 065015.
    [31] S. Oh, T. Kim, M. Kwak, J. Song, J. Woo, S. Jeon, I. K. Yoo, and H. Hwang, "HfZrOx -based ferroelectric synapse device with 32 levels of conductance states for neuromorphic application," IEEE Electron Device Lett., vol. 38, no. 6, pp. 732-735, Jun. 2017.
    [32] S. Dutta, H. Ye, W. Chakraborty, Y.-C. Luo, M. S. Jose, B. Grisafe, A. Khanna, I. Lightcap, S. Shinde, S. Yu, and S. Datta, "Monolithic 3D integration of high endurance multi-bit ferroelectric FET for accelerating compute-in-memory," in IEDM Tech. Dig., San Francisco, Dec. 2020, pp. 801-804.
    [33] J.-D. Luo, Y.-Y. Lai, K.-Y. Hsiang, C.-F. Wu, Y.-T. Yeh, H.-T. Chung, Y.-S. Li, K.-C. Chuang, W.-S. Li, C.-Y. Liao, P.-G. Chen, K.-N. Chen, M.-H. Lee, and H.-C. Cheng, "Ferroelectric undoped HfOx capacitor with symmetric synaptic for neural network accelerator," IEEE Trans. Electron Devices, vol. 68, no. 3, pp. 1374-1377, Mar. 2021.
    [34] M. Jerry, P.-Y. Chen, J. Zhang, P. Sharma, K. Ni, S. Yu, and S. Datta, "Ferroelectric FET analog synapse for acceleration of deep neural network training," in IEDM Tech. Dig., San Francisco, Dec. 2017, pp. 139-142.
    [35] X. Lyu, M. Si, X. Sun, M. A. Capano, H. Wang, and P. D. Ye, "Ferroelectric and anti-ferroelectric hafnium zirconium oxide: scaling limit, switching speed and record high polarization density," in Proc. Symp. VLSI Technol., Kyoto, Jun. 2019, pp. T44-T45.
    [36] M. Pesic, S. Knebel, M. Hoffmann, C. Richter, T. Mikolajick, and U. Schroeder, "How to make DRAM non-volatile? Anti-ferroelectrics: A new paradigm for universal memories," in IEDM. Tech. Dig., San Francisco, Dec. 2016, pp. 298-301.
    [37] S.-C. Chang, N. Haratipour, S. Shivaraman, T. L. Brown-Heft, J. Peck, C.-C. Lin, I-C. Tung, D. R Merrill, H. Liu, C.-Y. Lin, F. Hamzaoglu, M. V Metz, I. A Young, J. Kavalieros, and U. E Avci, "Anti-ferroelectric HfxZr1-xO2 capacitors for high-density 3-D embedded-DRAM," in IEDM Tech. Dig., San Francisco, Dec. 2020, pp. 605-608.
    [38] K.-Y. Hsiang, C.-Y. Liao, J.-H. Liu, J.-F. Wang, S.-H. Chiang, S.-H. Chang, F.-C. Hsieh, H. Liang, C.-Y. Lin, Z.-F. Lou, T.-H. Hou, C. W. Liu, and M. H. Lee, "Bilayer-based antiferroelectric HfZrO2 tunneling junction with high tunneling electroresistance and multilevel nonvolatile memory," IEEE Electron Device Lett., vol. 42, no. 10, pp. 1464-1467, Oct. 2021.
    [39] K.-Y. Hsiang, C.-Y. Liao, J.-F. Wang, Z.-F. Lou, C.-Y. Lin, S.-H. Chiang, C.-W. Liu, T.-H. Hou, and M.-H. Lee, "Unipolar parity of ferroelectric-antiferroelectric characterized by junction current in crystalline phase Hf1-xZrxO2 diodes," Nanomaterials, vol. 11, no. 10, Oct. 2021, Art. no. 2685.
    [40] A. K. Saha and S. K. Gupta, "Modeling and comparative analysis of hysteretic ferroelectric and anti-ferroelectric FETs," in Proc. 76th Device Res. Conf. (DRC), Santa Barbara, Jun. 2018, pp. 1-2.
    [41] K. Jang, N. Ueyama, M. Kobayashi, and T. Hiramoto, "Experimental observation and simulation model for transient characteristics of negative-capacitance in ferroelectric HfZrO2 capacitor," IEEE J. Electron Devices Soc., vol. 6, pp. 346-353, Feb. 2018.
    [42] K.-T. Chen, Y.-C. Chou, G.-Y. Siang, H.-Y. Chen, C. Lo, C.-Y. Liao, S.-T. Chang, and M.-H. Lee, "Evaluation of sweep modes for switch response on ferroelectric negative-capacitance FETs," Appl. Phys. Exp., vol. 12, no. 7, Jun. 2019, Art. no. 071003.
    [43] J. F. Scott, "Ferroelectrics go bananas," J. Phys. Condens. Matter, vol. 20, no. 2, Jan. 2008, Art. no. 021001.
    [44] J.-D. Luo, Y.-T. Yeh, Y.-Y. Lai, C.-F. Wu, H.-T. Chung, Y.-S. Li, K.-C. Chuang, W.-S. Li, P.-G. Chen, M.-H. Lee, and H.-C. Cheng, "Correlation between ferroelectricity and nitrogen incorporation of undoped hafnium dioxide thin films," Vacuum, vol. 176, Jun. 2020, Art. no. 109317.
    [45] Y. S. Choi, T. Numata, T. Nishida, R. Harris, and S. E. Thompson, "Impact of mechanical stress on gate tunneling currents of germanium and silicon p-type metal-oxide-semiconductor field-effect transistors and metal gate work function," J. Appl. Phys., vol. 103, no. 6, Mar. 2008, Art. no. 064510.
    [46] N. Sun, D. Zhou, W. Liu, Y. Zhang, S. Li, J. Wang, and F. Ali, "Importance of tailoring the thickness of SiO2 interlayer in the observation of ferroelectric characteristics in yttrium doped HfO2 films on silicon," Vacuum, vol. 183, Jan. 2021, Art. no. 109835.
    [47] J. Müller, T. S. Böscke, U. Schröder, S. Mueller, D. Bräuhaus, U. Böttger, L. Frey, and T. Mikolajick, "Ferroelectricity in simple binary ZrO2 and HfO2," Nano Lett., vol. 12, no. 8, pp. 4318-4323, Jul. 2012.
    [48] G. Greczynski and L. Hultman, "X-ray photoelectron spectroscopy: towards reliable binding energy referencing," Prog. Mater. Sci., vol. 107, Jan. 2020, Art. no. 100591.
    [49] G. Greczynski and L. Hultman, "Compromising science by ignorant instrument calibration—Need to revisit half a century of published XPS data," Angew. Chem. Int. Ed., vol. 59, no. 13, pp. 5002-5006, Mar. 2020.
    [50] G. Grezynski and L. Hultman, "The same chemical state of carbon gives rise to two peaks in X-ray photoelectron spectroscopy," Sci. Rep., vol. 11, no. 1, May 2021, Art. no. 11195.
    [51] Q. Luo, T. Gong, Y. Cheng, Q. Zhang, H. Yu, J. Yu, H. Ma, X. Xu, K. Huang, X. Zhu, D. Dona, J. Yin, P. Yuan, L. Tai, J. Gao, J. Li, H. Yin, S. Long, Q. Liu, H. Lv, and M. Liu, "Hybrid 1T e-DRAM and e-NVM realized in one 10 nm node ferro FinFET device with charge trapping and domain switching effects," in IEDM Tech. Dig., San Francisco, Dec. 2018, pp. 47-50.
    [52] A. S. Foster, F. L. Gejo, A. L. Shluger, and R. M. Nieminen, "Vacancy and interstitial defects in Hafnia," Phys. Rev. B, Condens. Matter, vol. 65, no. 17, May 2002, pp. 174117.
    [53] K. Xiong, J. Robertson, M. C. Gibson, and S. J. Clark, "Defect energy levels in HfO2 high-dielectric-constant gate oxide," Appl. Phys. Lett., vol. 87, no. 18, Oct. 2005, Art. no. 183505.
    [54] B. S. Kim, S. D. Hyun, T. Moon, K. D. Kim, Y. H. Lee, H. W. Park, Y. B. Lee, J. Roh, B. Y. Kim, H. H. Kim, M. H. Park, and C. S Hwang, "A comparative study on the ferroelectric performances in atomic layer deposited Hf0.5Zr0.5O2 thin films using tetrakis(ethylmethylamino) and tetrakis(dimethylamino) precursors," Nanosc. Res. Lett., vol. 15, no. 1, Apr. 2020, Art. no. 7.
    [55] M. Hoffmann, U. Schroeder, T. Schenk, T. Shimizu, H. Funakubo, O. Sakata, D. Pohi, M. Drescher, C. Adeimann, R. Materlik, A. Kersch, and T. Mikolajick, "Stabilizing the ferroelectric phase in doped hafnium oxide," J. Appl. Phys., vol. 118, no. 7, Aug. 2015, Art. no. 072006.
    [56] N. Gong and T.-P. Ma, "A study of endurance issues in HfO2-based ferroelectric field effect transistors: charge trapping and trap generation," IEEE Electron Device Lett., vol. 39, no. 1, pp. 15-18, Jan. 2018.

    [57] V. P.-H. Hu, H.-H. Lin, Z.-A. Zheng, Z.-T. Lin, Y.-C. Lu, L.-Y. Ho, Y.-W. Lee, C.-W. Su, and C.-J. Su, "Split-gate FeFET (SG-FeFET) with dynamic memory window modulation for non-volatile memory and neuromorphic applications," in Proc. Symp. VLSI Technol., Kyoto, Jun. 2019, T134-T135.
    [58] K. Ni, P. Sharma, J. Zhang, M. Jerry, J. A. Smith, K. Tapily, R. Clark, S. Mahapatra, and S. Datta, "Critical Role of Interlayer in Hf0.5Zr0.5O2 Ferroelectric FET Nonvolatile Memory Performance," IEEE Trans. Electron Devices, vol. 65, no. 6, pp. 2461-2469, Jun. 2018.
    [59] H. Mulaosmanovic, E. T. Breyer, T. Mikolajick, and S. Slesazeck, "Ferroelectric FETs with 20-nm-thick HfO2 layer for large memory window and high performance," IEEE Trans. Electron Devices, vol. 66, no. 9, pp. 3828-3833, Sep. 2019.
    [60] T. Mittmann, M. Materano, P. D. Lomenzo, M. H. Park, I. Stolichnov, M. Cavalieri, C. Zhou, C.-C. Chung, J. L. Jones, T. Szyjka, M. Müller, A. Kersch, T. Mikolajick, and U. Schroeder, "Origin of Ferroelectric Phase in Undoped HfO2 Films Deposited by Sputtering," Adv. Mater. Interfaces, vol. 6, no. 11, Apr. 2019, Art. no. 1900042.
    [61] S. L. Miller and P. J. McWhorter, "Physics of the ferroelectric nonvolatile memory field effect transistor," J. Appl. Phys., vol. 72, no. 12, pp. 5999-6010, Dec. 1992.
    [62] M. H. Park, H. J. Kim, Y. J. Kim, T. Moon, and C. S. Hwang, "The effects of crystallographic orientation and strain of thin Hf0.5Zr0.5O2 film on its ferroelectricity," Appl. Phys. Lett., vol. 104, no. 7, Feb. 2014, Art. no. 072901.
    [63] H. J. Kim, M. H. Park, Y. J. Kim, Y. H. Lee, W. Jeon, T. Gwon, T. Moon, K. D. Kim and, C. S. Hwang, "Grain size engineering for ferroelectric Hf0.5Zr0.5O2 film by an insertion of Al2O3 interlayer," Appl. Phys. Lett., vol. 105, no. 19, Nov. 2014, Art. no. 192903.
    [64] M. Saitoh, R. Ichihara, M. Yamaguchi, K. Suzuki, K. Takano, K. Akari, K. Takahashi, Y. Kamiya, K. Matsuo, Y. Kamimuta, K. Sakuma, K. Ota, and S. Fujii, "HfO2-based FeFET and FTJ for Ferroelectric-Memory Centric 3D LSI towards Low-Power and High-Density Storage and AI Applications," in IEDM Tech. Dig., San Francisco, Dec. 2020, pp. 375-378.
    [65] M. Pešić and B. Beltrando, "Embedding ferroelectric HfOx in memory hierarchy: Material-defects-device entanglement," in IEDM Tech. Dig., San Francisco, Dec. 2021, pp. 709-712.
    [66] T. Ali, K. Mertens, R. Olivo, M. Rudolph, S. Oehler, K. Kühnel, D. Lehninger, F. Müller, M. Lederer, R. Hoffmann, P. Schramm, K. Biedermann, A. M. Kia, J. Metzger, R. Binder, M. Czernohorsky, T. Kämpfe, J. Müller, K. Seidel, J. V. Houdt and L. M. Eng, "A Novel Hybrid High-Speed and Low Power Antiferroelectric HSO Boosted Charge Trap Memory for High-Density Storage," in IEDM Tech. Dig., San Francisco, Dec. 2020, pp. 383-386.
    [67] V. Sessi, M. Simon, H. Mulaosmanovic, D. Pohl, M. Loeffler, T. Mauersberger, F. P. G. Fengler, T. Mittmann, C. Richter, S. Slesazeck, T. Mikolajick and W. M. Weber, "A silicon nanowire ferroelectric field-effect transistor," Adv. Electron. Mater., Mar. 2020, Art. no. 1901255.
    [68] S.-C. Yan, G.-M. Lan, C.-J. Sun, Y.-H. Chen, C.-H. Wu, H.-K. Peng, Y.-H. Lin, Y.-H. Wu, and Y.-C. Wu, "High speed and large memory window ferroelectric HfZrO2 FinFET for high-density nonvolatile memory," IEEE Electron Device Lett., vol. 42, no. 9, pp. 1307-1310, Sept. 2021.
    [69] Z.-F. Lou, C.-Y. Liao, K.-Y. Hsiang, C.-Y. Lin, Y.-D. Lin, P.-C. Yeh, C.-Y. Wang, H.-Y. Yang, P.-J. Tzeng, T.-H. Hou, Y.-T. Tang, and M. H. Lee, “Characterization of double HfZrO2 based FeFET toward low-voltage multi-level operation for high density nonvolatile memory, in The 2022 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), Hsinchu, Apr. 2022, pp. 1-2.
    [70] J.-H. Bae, D. Kwon, N. Jeon, S. Cheema, A. J. Tan, C. Hu, and S. Salahuddin, "Highly Scaled, High Endurance, Ω-Gate, Nanowire Ferroelectric FET Memory Transistors," IEEE Electron Lett., vol. 41, no. 11, pp. 1637-1640, Nov. 2020.
    [71] D. Kleimaier, H. Mulaosmanovic, S. Dünkel, S. Beyer, S. Soss, S. Slesazeck, and T. Mikolajick, "Demonstration of a p-type ferroelectric FET with immediate read-after-write capability," IEEE Electron Lett., vol. 42, no. 12, pp. 1774-1777, Dec. 2021.
    [72] S. Oh, J.Song, I. K. Yoo, and H. Hwang, "Improved endurance of HfO2-based metal-ferroelectric-insulator-silicon structure by high-pressure hydrogen annealing," IEEE Electron Device Lett, vol. 40, no. 7, pp. 1092-1095, Jul. 2019.
    [73] Y. Higashi, N. Ronchi, B. Kaczer, M. N. K. Alam, B. J. O'Sullivan, K. Banerjee, S. R. C. McMitchell, L. Breuil, A. Walke, G. V. d. Bosch, D. Linten, and J. V. Houdt, "Impact of charge trapping and depolarization on data retention using simultaneous P-V and I-V in HfO2-based ferroelectric FET," IEEE Trans. Electron Devices, vol. 68, no. 9, pp. 4391-4396, Sept. 2021.
    [74] S. Deng, Z. Jiang, S. Dutta, H. Ye, W. Chakraborty, S. Kurinec, S. Datta and K. Ni, "Examination of the interplay between polarization switching and charge trapping in ferroelectric FET," in IEDM Tech. Dig., San Francisco, Dec. 2020, pp. 67-70.
    [75] M. Hoffmann, A. J. Tan, N. Shanker, Y.-H. Liao, L.-C. Wang, J.-H. Bae, C. Hu and S. Salahuddin, "Fast read-after-write and depolarization fields in high endurance n-type ferroelectric FETs," IEEE Electron Device Lett., vol. 43, no. 5, pp. 717-720, May 2022.
    [76] H. Zhou, J. Ocker, A. Padovani, M. Pesic, M. Trentzsch, S. Dünkel, H. Mulaosmanovic, S. Slesazeck, L. Larcher, S. Beyer, S. Müller and T. Mikolajick, “Application and benefits of target programming algorithms for ferroelectric HfO2 transistors,” in IEDM Tech. Dig., San Francisco, Dec. 2020, pp. 395-398.
    [77] X. Jia, J. Xiang, H. Xu, W. Liu, X. Wang, and W. Wang, "Depolarization field in FeFET considering minor loop operation and charge trapping," IEEE Trans. Electron Devices, vol. 69, no. 5, pp. 2711-2717, May 2022.
    [78] M. Pešić, M. Hoffmann, C. Richter, S. Slesazeck, T. Kämpfe, L. M. Eng, T. Mikolajick, and U. Schroeder, "Anti-ferroelectric ZrO2: An enabler for low power non-volatile 1T-1C and 1T random access memories," in Proc. 47th Eur. Solid-State Device Res. Conf. (ESSDERC), Leuven, Sept. 2017, pp. 160-163.
    [79] M. Pešić, T. Li, V. D. Lecce, M. Hoffmann, M. Materano, C. Richter, B. Max, S. Slesazeck, U. Schroeder, L. Larcher, and T. Mikolajick, "Built-in bias generation in anti-ferroelectric stacks: methods and device applications," IEEE J. Electron Devices Soc., vol. 6, pp. 1019-1025, Apr. 2018.
    [80] D. K. Simon, P. M. Jordan, T. Mikolajick, and I. Dirnstorfer, "On the control of the fixed charge densities in Al2O3-based silicon surface passivation schemes," ACS Appl. Mater. Interfaces, vol. 7, no. 51, pp. 28215-28222, Nov. 2015.
    [81] C.-Y. Liao, K.-Y. Hsiang, Z.-F. Luo, C.-Y. Lin, Y.-D. Lin, P.-C. Yeh, C.-Y. Wang, H.-Y. Yang, P.-J. Tzeng, Y.-T. Tang, T.-H. Hou, and M. H. Lee, “BEOL (back end of line) applicable ferroelectric HfZrO¬2 by PEALD with low temperature annealing, wake-up free, and synaptic application,” in 52nd IEEE Semiconductor Interface Specialists Conference (SISC), San Diego, Dec. 8-11, 2021, 6.18.
    [82] J. Hur, N. Tasneem, G. Choe, P. Wang, Z. Wang, A. I. Khan, and S. Yu, "Direct comparison of ferroelectric properties in Hf0.5Zr0.5O2 between thermal and plasma-enhanced atomic layer deposition," Nanotechnology, vol. 31, no. 50, Oct. 2020, Art. no. 5005707.
    [83] J. Müller, E. Yurchuk, T. Schlösser, J. Paul, R. Hoffmann, S. Müller, D. Martin, S. Slesazeck, P. Polakowski, J. Sundqvist, M. Czernohorsky, K. Seidel, P. Kücher, R. Boschke, M. Trentzsch, K. Gebauer, U. Schröder, and T. Mikolajick, "Ferroelectricity in HfO2 enables nonvolatile data storage in 28 nm HKMG," in Proc. Symp. VLSI Technol., Honolulu, Jun. 2012, pp. 25-26.
    [84] T. Mikolajick, U. Schroeder, P. D. Lomenzo, E. T. Breyer, H. Mulaosmanovic, M. Hoffmann, T. Mittmann, F. Mehmood, B. Max, and S. Slesazeck, "Next generation ferroelectric memories enabled by hafnium oxide," in IEDM Tech. Dig., San Francisco, Dec. 2019, pp. 354-357.
    [85] M. H. Park, H. J. Kim, Y. J. Kim, W. Lee, T. Moon, and C. S. Hwang, "Evolution of phases and ferroelectric properties of thin Hf0.5Zr0.5O2 films according to the thickness and annealing temperature," Appl. Phys. Lett., vol. 102, no. 24, Jun. 2013, Art. no. 242905.
    [86] S. J. Kim, D. Narayan, J.-G. Lee, J. Mohan, J. S. Lee, J. Lee, C. D. Young, and J. Kim, "Low temperature (400°C) ferroelectric Hf0.5Zr0.5O2 capacitors for next-generation FRAM applications," in Proc. IEEE Int. Memory Workshop (IMW), Monterey, May 2017, pp. 1-4.
    [87] Y. Qi, X. Xu, I. Krylov, and M. Eizenberg, "Ferroelectricity of as-deposited HZO fabricated by plasma-enhanced atomic layer deposition at 300 °C by inserting TiO2 interlayers," Appl. Phys. Lett., vol. 118, no. 3, Jan. 2021, Art. no. 032906.
    [88] T. Onaya, T. Nabatame, N. Sawamoto, A. Ohi, N. Ikeda, T. Nagata, and A. Ogura, "Ferroelectricity of HfxZr1-xO2 thin films fabricated by 300 °C low temperature process with plasma-enhanced atomic layer deposition," Microelectron Eng., vol. 215, no. 15, Jul. 2019, Art. no. 111013.
    [89] C.-Y. Liao, K.-Y. Hsiang, C.-Y. Lin, Z.-F. Lou, H.-C. Tseng, F.-S. Chang, W.-C. Ray, C.-C. Wang, J.-Y. Lee, P.-H. Chen, J.-H. Tsai, M.-H. Liao, and M. H. Lee, "Experimental insights of reverse switching charge for antiferroelectric Hf0.1Zr0.9O2," IEEE Electron Device Lett., 2022. (early access)
    [90] M. H. Lee, P.-G. Chen, C. Liu, K.-Y. Chu, C.-C. Cheng, M.-F. Xie, S.-N. Liu, J.-W. Lee, S.-J. Huang, M.-H. Liao, M. Tang, K.-S. Li, and M.-C. Chen, "Prospects for ferroelectric HfZrOx FETs with experimentally CET=0.98nm, SSfor=42mV/dec, SSrev=28mV/dec, switch-off <0.2V, and hysteresis-free strategies," in IEDM Tech. Dig., Washington, Dec. 2015, pp. 616-619.
    [91] K.-S. Li, P.-G. Chen, T.-Y. Lai, C.-H. Lin, C.-C. Chang, C.-C. Chen, Y.-J. Wei, Y.-F. Hou, M.-H. Liao, M.-H. Lee, M.-C. Chen, J.-M. Sheih, W.-K. Yeh, F.-L. Yang, S. Salahuddin, and C. Hu, "Sub-60mV-swing negative-capacitance FinFET without hysteresis," in IEDM Tech. Dig., Washington, Dec. 2015, pp. 620-623.
    [92] H. Wang, M. Yang, Q. Huang, K. Zhu, Y. Zhao, Z. Liang, C. Chen, Z. Wang, Y. Zhong, X. Zhang, and R. Huang, "New insights into the physical origin of negative capacitance and hysteresis in NCFETs," in IEDM Tech. Dig., San Francisco, Dec. 2018, pp. 707-710.
    [93] M. Hoffmann, B. Max, T. Mittmann, U. Schroeder, S. Slesazeck, and T. Mikolajick, "Demonstration of high-speed hysteresis-free negative capacitance in ferroelectric Hf0.5Zr0.5O2," in IEDM Tech. Dig., San Francisco, Dec. 2018, pp. 727-730.
    [94] P. Sharma, J. Zhang, K. Ni, and S. Datta, "Time-resolved measurement of negative capacitance," IEEE Electron Device Lett., vol. 39, no. 2, pp. 272-275, Feb. 2018.
    [95] Y. Zhao, Z. Liang, Q. Huang, H. Wang, Y. Peng, G. Han, and R. Huang, "Experimental study on the transient response of negative capacitance tunnel FET," in Proc. Electron Devices Technol. Manuf. Conf. (EDTM), Singapore, Mar. 2019, pp. 88-90.
    [96] T. Kim, J. A. d. Alamo, and D. A. Antoniadis, "Dynamics of HfZrO2 ferroelectric structures: experiments and models," San Francisco, Dec. 2020, pp. 441-444.
    [97] C. Gastaldi, A. Saeidi, M. Cavalieri, I. Stolichnov, P. Muralt, and A. M. Ionescu, "Transient negative capacitance of silicon-doped HfO2 in MFMIS and MFIS structures: experimental insights for hysteresis-free steep slope NC FETs," in IEDM Tech. Dig., San Francisco, Dec. 2019, pp. 562-565.
    [98] Y. D. Lin, H. Y. Lee, Y. T. Tang, P. C. Yeh, H. Y. Yang, P. S. Yeh, C. Y. Wang, J. W. Su, S. H. Li, S. S. Sheu, T. H. Hou, W. C. Lo, M. H. Lee, M. F. Chang, Y. C. King, and C. J. Lin, "3D scalable, wake-up free, and highly reliable FRAM technology with stress-engineered HfZrOx," in IEDM Tech. Dig., San Francisco, Dec. 2019, pp. 346-349.
    [99] K. Kita and A. Toriumi, "Origin of electric dipoles formed at high-k/SiO2 interface," Appl. Phys. Lett., vol. 94, Apr. 2009, Art. no. 132902.
    [100] Y.-S. Jiang, Y.-E. Jeng, Y.-T. Yin, K.-W. Huang, T.-J. Chang, C.-I. Wang, Y.-T. Chao, C.-H. Wu, and M.-J. Chen, "Operation bandwidth of negative capacitance characterized by the frequency response of capacitance magnification in ferroelectric/dielectric stacks," J. Mater. Chem. C, vol. 9, no. 4, pp. 1401-1409, Dec. 2020.
    [101] S.-H. Yi, H.-C. Lin, and M.-J. Chen, “Ultra-high energy storage density and scale-up of antiferroelectric TiO2/ZrO2/TiO2 stacks for supercapacitors,” J. Mater. Chem. A., vol. 9, no. 14, pp. 9081–9091, Mar. 2021.
    [102] B. Xu, J. Íñiguez, and L. Bellaiche, “Designing lead-free antiferroelectrics for energy storage,” Nat. Commun., vol. 8, May 2017, Art. no. 15682.
    [103] H. Wang, Y. Liu, T. Yang, S. Zhang, “Ultrahigh energy-storage density in antiferroelectric ceramics with field induced multiphase transitions,” Adv. Funct. Mater., vol. 29, no. 7, Jan. 2019, Art. no. 1807321.

    無法下載圖示 本全文未授權公開
    QR CODE